Skip to content

Commit b5bcefd

Browse files
authored
Update lab_10.tb_csr.sv
1 parent ee1ee80 commit b5bcefd

File tree

1 file changed

+3
-3
lines changed

1 file changed

+3
-3
lines changed

Labs/10. Interrupt subsystem/lab_10.tb_csr.sv

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -360,23 +360,23 @@ mie_a: assert property (
360360
((addr_i === MIE_ADDR) && $rose(write_enable_i)) |=> (mie_o === data_ref)
361361
)else begin
362362
err_count++;
363-
$display("Incorrect value of mie_o : mie_o = %08h while if should be %08h.\n", $sampled(mie_o), $sampled(data_ref));
363+
$display("Incorrect value of mie_o : mie_o = %08h while it should be %08h.\n", $sampled(mie_o), $sampled(data_ref));
364364
end
365365

366366
mepc_a: assert property (
367367
@(posedge clk_i) disable iff ( rst_i || trap_i )
368368
((addr_i === MEPC_ADDR) && $rose(write_enable_i)) |=> (mepc_o === data_ref)
369369
)else begin
370370
err_count++;
371-
$display("Incorrect value of mepc_o : mepc_o = %08h while if should be %08h.\n", $sampled(mepc_o), $sampled(data_ref));
371+
$display("Incorrect value of mepc_o : mepc_o = %08h while it should be %08h.\n", $sampled(mepc_o), $sampled(data_ref));
372372
end
373373

374374
mtvec_a: assert property (
375375
@(posedge clk_i) disable iff ( rst_i || trap_i )
376376
((addr_i === MTVEC_ADDR) && $rose(write_enable_i)) |=> (mtvec_o === data_ref)
377377
)else begin
378378
err_count++;
379-
$display("Incorrect value of mtvec_o : mtvec_o = %08h while if should be %08h.\n", $sampled(mtvec_o), $sampled(data_ref));
379+
$display("Incorrect value of mtvec_o : mtvec_o = %08h while it should be %08h.\n", $sampled(mtvec_o), $sampled(data_ref));
380380
end
381381

382382
mepc_stability_a: assert property (

0 commit comments

Comments
 (0)